From 712db81916da86a04787cd64ae1c22367effb48e Mon Sep 17 00:00:00 2001 From: xiaoz Date: Mon, 22 Mar 2021 21:49:41 +0800 Subject: [PATCH] =?UTF-8?q?=E6=9B=B4=E6=96=B0=E7=89=88=E6=9C=AC=E5=8F=B7?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- version.txt | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/version.txt b/version.txt index 5e5fae1..751cd38 100644 --- a/version.txt +++ b/version.txt @@ -1 +1 @@ -v0.9.8-20201224 \ No newline at end of file +v0.9.9-20210322 \ No newline at end of file